Получи случайную криптовалюту за регистрацию!

Магический Дым

Логотип телеграм канала @themagicsmoke — Магический Дым М
Логотип телеграм канала @themagicsmoke — Магический Дым
Адрес канала: @themagicsmoke
Категории: Технологии , Новости и СМИ
Язык: Русский
Страна: Россия
Количество подписчиков: 803
Описание канала:

Новости, статьи, интересные материалы по электронике. http://themagicsmoke.ru/ Обратная связь @chrns

Рейтинги и Отзывы

1.00

3 отзыва

Оценить канал themagicsmoke и оставить отзыв — могут только зарегестрированные пользователи. Все отзывы проходят модерацию.

5 звезд

0

4 звезд

0

3 звезд

0

2 звезд

0

1 звезд

3


Последние сообщения 3

2021-05-12 07:55:56 DigiKey: Use Modules with Integrated Amplifiers to Remove the “Black Magic” from High-Speed ADC Design

#adc #high_speed #eng
233 views04:55
Открыть/Комментировать
2021-05-11 10:32:58 Новые чипы для UWB подкатят в июне-июле: DW3000

> Lower power than BLE and up to 5x lower energy than DW1000.

#uwb #lps #eng
220 views07:32
Открыть/Комментировать
2021-04-02 11:35:24

939 views08:35
Открыть/Комментировать
2021-04-02 11:35:23
Хаха, аниме — моя жизнь. Го в крестики-нолики, я создал!
756 viewsedited  08:35
Открыть/Комментировать
2021-03-30 05:31:24 Ренесанс выкатил bt контроллер https://www.renesas.com/eu/en/products/microcontrollers-microprocessors/re-cortex-m-ultra-low-power-sotb-mcus/re01b-arm-cortex-m0-ultra-low-power-mcu-bluetooth-50-based-sotb-process-technology
993 views02:31
Открыть/Комментировать
2021-03-01 06:34:56
остальная часть фильма скорей всего не заслуживает внимания :)
1.5K views03:34
Открыть/Комментировать
2021-02-05 09:33:35 SystemVerilog для симуляции аналоговых компонентов

Гуглил тут на тему, как лучше в обычном цифровом тестбенче имитировать RC-цепь, аналоговый компаратор и т.п. Чтобы без всяких Verilog-AMS и прочих mixed-signal наворотов.

Наткнулся на шикарный диссер из Стэнфорда, где показан общий подход к тому, как с помощью SystemVerilog и кусочно-линейных функций создать pin-accurate модель аналогового блока, работающую в любом цифровом симуляторе.

VERILOG PIECEWISE LINEAR BEHAVIORAL MODELING FOR MIXED-SIGNAL VALIDATION
Sabrina Liao
https://purl.stanford.edu/pb381vh2919

#simulation #system_verilog #mixed_signal #analog_design
1.6K views06:33
Открыть/Комментировать
2021-02-05 09:01:20 eax.me:

- Учимся делать кварцевые полосовые фильтры
- Кварцевые полосовые фильтры, часть 2

#crystal #filter #rus
1.2K views06:01
Открыть/Комментировать